广州创龙C66x平台GigE工业相机图像采集案例详解 - 创龙小识堂 - 嵌入式开发者社区 - 51ele.net
设为首页收藏本站

嵌入式开发者社区

 找回密码
 立即注册

QQ登录

只需一步,快速开始

查看: 5989|回复: 3

广州创龙C66x平台GigE工业相机图像采集案例详解

[复制链接]

26

主题

29

帖子

158

积分

QQ游客

积分
158
发表于 2016-11-28 12:36:14 | 显示全部楼层 |阅读模式
1,平台简介
Ø  开发平台:TL665x-EasyEVM
Ø  开发环境:CCSv5.5
Ø  DSP系统:SYS/BIOS6.37.5.35
Ø  网络协议栈:NDK 2.21.2.23
Ø  Gige相机:Balser acA640-120gm
Ø  采集帧率:30fps
                              
QQ图片20161125164030.png

QQ图片20161125164045.png
2,GigE Vision 简介
千兆网(GigE)是目前工业数字相机中发展最快的接口,同时也是可普遍应用的数字接口,几乎可全面取代模拟设备的相机接口。千兆网在宽带、线材长度、多相机功能方面有较大的技术灵活性,是传输速率高达108MB / 秒、长度为100米线材的最佳选择。此外,其简化了多相机系统的设置。

5.png
GigE Vision是一种基于千兆以太网通信协议开发的相机接口标准。在工业机器视觉产品的应用中,GigE Vision允许用户在很长距离上用廉价的标准线缆进行快速图像传输。它还能在不同厂商的软、硬件之间轻松实现互操作。
GigE Vision与标准千兆以太网,在硬件架构上基本完全一样(对网卡的要求有微小区别),只是在底层的驱动软件上有所区别。他主要解决标准千兆网的两个问题:其一,数据包小而导致的传输效率低。标准千兆网的数据包为1440字节,而GigE Vision 采用所谓的“Jumbo packet”,其最大数据包可达16224字节。其二CPU占用率过高。标准千兆网采用TCP/IP协议,在部分使用DMA控制以提高传输效率的情况下,可做到82MB/s时CPU占用率15%。GigE Vision 驱动采用的是UPD/IP协议,采用完全的DMA控制,大大降低了CPU的占用率,在同等配置情况下可做到108MB/s时CPU占用率为2%。
千兆网视觉标准GigE Vision包含下面四种技术内容:
Ø  The Gig Vision™ Control Protocol(GVCP), 运行在UDP IPv4协议上. 定义了如何控制和配置如摄像头等兼容设备,定义流通道,并且提供摄像头发送图像,传输数据到计算机的机制。
Ø  The Gig Vision™ Stream Protocol(GVSP),定义数据类型并且详细描述图像如何通过千兆网传输。
Ø  The Gig Device Discovery Mechanism(GDDM),定义工业摄像头或者其他兼容设备如何获取IP地址。
Ø  基于GenICam™标准的XML描述文件,提供等效于计算机可以读取的数据表文件,实现工业摄像头控制和图像流获取。

6.png
3,程序关键配置简介
Ø  基本配置:
7.png
Ø  RTSC配置:
8.png
SYS/BIOS配置:
9.png
Ø  NDK配置:
10.png
Ø  系统的IP地址配置成固定的IP地址,具体配置如下:
11.png
Ø  DHCP SERVER详细配置如图所示:相机IP地址可以从192.160.1.203开始到192.160.1.213;
12.png
Ø  系统内存配置:设计中使用SystemHeap空间作为图像接收的缓冲区,内存的映射区域为片外的DDR3,总大小为16MB;
13.png
4,程序结构流程简介
  Gige相机采集图像主要包括三个步骤,首先给相机分配IP地址,然后配置相机参数并启动相机图像传输,最后接收并解析图像数据。
程序结构如下图所示:
14.png

相机的初始化和配置流程如下图所示:
15.png    
图像接收采用两个缓冲区Ping-Pong操作的方式,采用该方式有两个比较明显的优势,其一在应用过程中,可以轮流操作缓冲区,其二UDP为非可靠性传输,不能保证数据包能顺序到达,采用此方式可以接收帧间交叉传输的数据包。设计中还增加了坏帧处理机制,如果坏帧(错误帧或者接收速率不够导致)增大到一定的数量,清空接收缓存区重新接收图像。流程如下图所示:
16.png
5,程序运行效果
下图为图像采集CCS图像监视端口配置图,用于配置图像显示的一些特性。配置完成后切换到Image窗口,右键单击图像区域,选择refresh,CCS自动从处理器的指定内存加载图像到Image窗口。
17.png

18.png
参考文档:
1.    spru523h - TI Network Developer's Kit (NDK)v2.21 User's Guide
2.    spru524h - TI Network Developer's Kit (NDK)v2.21 API Reference Guide
3.    GigE Vision Camera Interface Standard forMachine Vision 1.0

回复

使用道具 举报

7

主题

104

帖子

697

积分

高级会员

Rank: 4

积分
697
发表于 2016-11-28 19:44:35 | 显示全部楼层
创龙的节奏就是快!GigE相机还没接触过,该平台能否能实现对Onvif协议的相机进行图像采集?
回复 支持 反对

使用道具 举报

8

主题

14

帖子

148

积分

注册会员

Rank: 2

积分
148
发表于 2017-10-25 13:56:31 | 显示全部楼层
本帖最后由 loewe 于 2017-10-26 09:53 编辑

您好,最近接触到DSP,需要用DSP控制黑白CCD进行图像采集,还有后续处理。CCD也是Gige协议接口的产品。感觉无从下手,希望可以交流一下,您这边的资料方便的话,可以分享一下吗
回复 支持 反对

使用道具 举报

8

主题

14

帖子

148

积分

注册会员

Rank: 2

积分
148
发表于 2017-10-26 10:56:18 | 显示全部楼层
如果是定制的解决方案和技术支持,可以怎么进行
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|手机版|小黑屋|嵌入式开发者社区 ( 粤ICP备15055271号

GMT+8, 2024-3-29 15:30 , Processed in 0.077769 second(s), 31 queries .

Powered by Discuz! X3.2

© 2001-2015 Comsenz Inc.

快速回复 返回顶部 返回列表